Posts

Showing posts from October, 2019

Micron Announces 5300 And 7300 Series Enterprise SSDs

Image
Among several announcements today, Micron is updating two families of enterprise/datacenter SSDs to use their latest 96-layer 3D TLC NAND flash memory. SATA Update The new 5300 series SATA drives are a replacement for the 5200 series that uses 64L 3D TLC. Micron has made a few changes to capacity and form factor options, increasing the maximum capacity available in the highest endurance tier and bringing back the M.2 options that were available with the 5100 series but missing from the 5200 series. Starting at the high end, the 5300 MAX family is the replacement for the 5200 MAX, still with a 5 DWPD endurance rating and similar performance. The 5300 MAX also adds a new 3.84TB model, but this one is only rated for 3.5 DWPD and has significantly lower random write performance. Moving down to the PRO tier, the 5300 generation expands the capacity range to now include sizes from 240GB all the way up to 7.68TB, where the 5200 PRO only offered 960GB through 3.84TB options. The en

AMD Q3 FY 2019 Earnings Report: Party Like It’s 2005

Image
Today AMD announced their third quarter earnings for the 2019 fiscal year, and AMD has not seen revenue like this for a long time – in fact this is the highest quarterly revenue since 2005 for the company. AMD’s revenue jumped 9% year-over-year to $1.8 billion, and at least as importantly, AMD had gross margins of 43%, which is up 3% over last year, and the highest margins they’ve seen since 2012. Operating income was up 24% to $186 million, and net income was up 18% to $120 million. This resulted in earnings-per-share of $0.11, up 22% from Q3 2018. AMD Q3 2019 Financial Results (GAAP)   Q3'2019 Q2'2019 Q3'2018 Revenue $1801M $1531M $1653M Gross Margin 43% 41% 40% Operating Income $186M $59M $150M Net Income $120M $35M $102M Earnings Per Share $0.11 $0.03

The AUKEY KM-G3 RGB Mechanical Keyboard Review: A Basic Budget Mechanical Keyboard

Today we are taking a look at the KM-G3 RGB Mechanical keyboard from AUKEY, a Chinese manufacturer. Designed as an entry-level mechanical keyboard, AUKEY engineered the KM-G3 to offer mechanical switches and RGB lighting at a significantly lower price than the competition. There aren't any advanced features to speak of, but as a result AUKEY sells the keyboard for just $65, and it is often on sale for even less than that.

The Intel Core i9-9990XE Review: All 14 Cores at 5.0 GHz

Within a few weeks, Intel is set to launch its most daring consumer desktop processor yet: the Core i9-9900KS, which offers eight cores all running at 5.0 GHz. There’s going to be a lot of buzz about this processor, but what people don’t know is that Intel already has an all 5.0 GHz processor, and it actually has 14 cores: the Core i9-9990XE. This ultra-rare thing isn’t sold to consumers – Intel only sells it to select partners, and even then it is only sold via an auction, once per quarter, with no warranty from Intel. How much would you pay for one? Well we got one to test.

Intel Files Antitrust Suit Against SoftBank-Controlled Firm Over Patent Aggregation

Image
Intel this week filed an lawsuit against Fortress Investment Group, a patent assertion entity controlled by SoftBank. Responding to a series of patent infringement lawsuits that Fortress has brought against Intel in recent years, Intel's latest lawsuit accuses Fortress of engaging in anticompetitive behavior, alleging that Fortress stockpiled technology patents in order to patent troll Intel. As a result, Intel is asking the courts to declare Fortress’s patent aggregation business practice as unlawful, and essentially unwind Fortress's operations. In the recent years IP aggregation with an aim to enforce patents and receive royalties has developed into a business in and of itself. This has lead to the rise of firms known as patent assertion entities (PAEs) — or commonly called patent trolls — who acquire a large number of patents from various companies and then license them (often in package deals) to high-tech companies who are developing actual products. Through a net

TSMC: 5nm on Track for Q2 2020 HVM, Will Ramp Faster Than 7nm

Image
TSMC’s 5 nm (N5) manufacturing technology is projected to provide significant benefits when it comes to performance, power, and area scaling, which is why the contract maker of semiconductors expects a tangible number of its customers to adopt this process. And, with a forecast for aggressive demand paired with some early preparation in installing new equipment, TSMC believes that its N5 technology will ramp even quicker than its 7 nm (N7) process. In a bid to boost its production capacities, TSMC recently increased its capital expenditures for 2019 from $10 billion - $11 billion to $14 billion - $15 billion. TSMC is particularly invested in buying equipment for its cutting-edge nodes, such as ASML’s Twinscan NXE step-and-scan systems for processes that use extreme ultraviolet lithography (EUVL) for select layers. At present, TSMC’s Fab 15 is making SoCs using  N7+ , whereas its Fab 18 (the first phase of equipment move-in was completed in March 2019) is on-track to produce N5 chi

Samsung Launches Single-Chip uMCP Packages with LPDDR4X DRAM & UFS 3.0 Storage

Image
Samsung has introduced a new lineup of all-in-one memory packages for smartphones that integrate both DRAM and storage. The latest generation of uMCP devices now feature up to 12 GB of LPDDR4X DRAM as well as NAND flash storage with a UFS 3.0 interface, thus providing high performance memory for mainstream handsets in a cost-effective form-factor. Samsung’s UFS-based multichip packages (uMCPs) integrate 10 GB or 12 GB of LPDDR4X-4266 memory (made using the company’s 2 nd Generation 10nm-class process technology) as well as NAND flash storage featuring a UFS 3.0 interface. Since both new uMCP modules incorporate four DRAM devices, they will allow the latest SoCs with quad-channel LPDDR4X memory controllers to reach up to 34.1 GB/s memory bandwidth. Meanwhile on the NAND side of matters, Samsung's official announcement doesn't list what storage capacities will be available there, but the company has commented that they can provide the uMCPs in a variety of capacities. Me

Arm Announces New Ethos-N57 and N37 NPUs, Mali-G57 Valhall GPU and Mali-D37 DPU

Image
Today Arm is announcing four new products in its NPU, GPU and DPU portfolio. The company is branding its in-house machine learning processor IPs the Ethos line-up detailing more the existing N77 piece and also revealing the smaller N57 and N37 siblings in the family. To top things off, the company is also making ready its first mid-range GPU IP based on the brand-new Valhall architecture, the new Mali-G57. Finally, we’re seeing the release of a new mid-range DPU in the form of the Mali-D37. Introducing the Ethos NPU Family Arm’s NPU IP offering was first announced early last year , detailing its architecture a few months later , and has been publicly been known until known just as “the Arm Machine Learning processor”. Arm at TechCon this year has officially branded the IP as the Ethos line-up, and the N77 has been the main product that’s been previously referred to as the Arm MLP codename. Microarchitecturally, the new branded Ethos-N77 now publicly changes its specs co

AMD Ryzen 7 3750X with 105 W TDP: Spotted at AMD

Image
AMD has accidentally published its Product Master list - a list with all of its consumer, enterprise, and OEM parts listed. In the latest publication, it reveals multiple CPUs that could potentially be released in the future. The document, which is dated September 2019, indicates that AMD may be mulling to launch its Ryzen 7 3750X product with a 105 W TDP, though does not disclose its specifications or if it is OEM-only. From AMD's Master Product Document As the product number suggests, AMD’s Ryzen 7 3750X will sit between the eight-core Ryzen 7 3800X and the eight-core Ryzen 7 3700X, which leads to a suggestion that we are dealing with another eight-core CPU with SMT. Meanwhile, the base frequency difference between the Ryzen 7 3700X and 3800X is only 300 MHz, which is pretty narrow, whereas the former features a mainstream TDP of 65 W, while the latter can go all the way to 105W. AMD 'Matisse' Ryzen 3000 Series CPUs AnandTech

Dynabook Updates Support Policies, Now Offers 3 & 4 Year Warranties For Some Models

Image
Back in the day, virtually all PCs came standard with a three-year warranty with some makers offering to extend it to five years. Due to cut-throat competition on the PC market, manufacturers started to cut down their costs and long warranties were among the first things to go. While most laptops shipped today come with relatively short one or two year warrantees, there is continuing pressure on notebook makers both to support their devices for longer, as well as to take steps to differentiate themselves in a very crowded market. As a result, we're finally starting to see longer warrantees become more common – at least on higher-end models. To that end, in a bid to improve their competitive position, this week Dynabook has announced that it will offer standard three and four year warrantees for select notebooks. From now on, Dynabook Americas (formerly Toshiba America Client Solutions) will offer its three-year +Care Service warranty with select ‘featured configuration’ not

Google Announces The Pixel 4 and Pixel 4 XL: The 2019 Pixels

Today Google announced the new Pixel 4 and Pixel 4 XL flagship devices, representing Google's vision for 2019 and 2020. The new phones upgrade the hardware to the latest and greatest, such as introducing a new 90Hz display. The new phones come with a new design language that does differ more significantly from its predecessors, with some risky choices in terms of the new features that the new generation drops as well as adopts. As always, Google prides itself in the camera of the Pixel phones and this year we kinda saw the new Pixel go against the flow of industry trends, and instead of adopting a much hyped ultra-wide-angle module, Google doubled down on a new telephoto camera. However Google's forte remains software, and here alongside new camera features, Google also brings new features to the table, such as a new upgraded voice recognition aided by machine learning that's been used both for the new Assistant, as well as new apps such as the live transcription recorder

EUV Demand is Up: EUV Device Manufacturer ASML Beats Sales Estimates

Image
Between the smartphone revolution, cloud computing, and the Internet of Things, the demand for cutting-edge chips has never been higher. And if you have any doubts about that, then one only needs to look at the record-breaking sales of the equipment used to fab those chips. ASML, the leader in the field for photolithography, announced this week its financial results for the third quarter, revealing that its sales, profits, and net bookings all topped expectations, as the company received orders for 23 extreme ultraviolet lithography (EUVL) tools. ASML’s revenues in Q3 2019 totaled €2.987 billion ($3.3 billion), with a net income of €627 million ($692.7 million). During the quarter, ASML sold 45 DUV and seven EUV lithography systems, as well as five used lithography systems. In total, the company sold 136 step-and-scan tools this year so far, of which 18 were EUV tools. In Q4, the company intends to ship eight EUV step-and-scan systems, bringing the total for 2019 to 30 EUV systems.

The Toshiba/Kioxia BG4 1TB SSD Review: A Look At Your Next Laptop's SSD

Today we're taking a look at Toshiba's tiny, OEM-only BG4 SSD. The BG4 is going to become an increasingly common sight in OEM laptops as manufacturers look to save on space and power consumption. Toshiba's fourth-generation BGA SSD pushes performance and capacity into mainstream territory while keeping power consumption extremely low, all in a footprint comparable to a postage stamp or SD card.

Diving into Intel’s Regional Focus: How is the UK different to the US? What about Brexit?

In this industry, it’s very easy to fall into a lull that the North American market is blueprint from which every other commercial market is drawn up. In reality, each region and sub-region has its own foibles, from the types of customers in play, budgets customers can spend, and the requirements therein that might be unique to that region. Of course, within Europe there are several sizeable markets that aren’t like the North American market at all, and this year in the UK a lot of talk has been about how Brexit will affect business, supply, and revenue. This week Intel UK held an open house for media and partners to demonstrate the latest range of Intel devices, mostly derived from Ice Lake notebooks, Project Athena devices, gaming laptops, and super desktop rigs that are sold in the market. Intel also paired that up with a number of presentations going over Intel’s strategy and how it plays out to the local consumer base. From my perspective, given that I’ve been to over a dozen eq

Samsung to Invest $11 Billion in QD-OLED Panel Production

Image
Samsung Display has announced plans to invest a further ₩13.1 trillion ($11 billion) in the R&D and production of QD-OLED TV panels. The plan includes building up two QD-OLED production lines in South Korea between now and 2025, with the first starting production in 2021. In addition, the company will invest in R&D of quantum dot-enhanced OLED technology, which promises to provide finer colors than today’s OLED displays and televisions. The first step of Samsung’s QD-OLED plan will be converting its L8 fab in Tangjong, South Korea, from making LCD substrates to QD-OLED substrates. The plant is expected to produce 30 thousand QD-OLED substrates per month starting in Q1 2021. Longer term, Samsung will be converting all of its 8 th Generation LCD production facilities to QD-OLED in a bid to increase the number of substrates produced monthly to 100 thousand. The QD-OLED technology promises to simplify (i.e. lower the cost of) production of OLED-based televisions and mo

OWC Mercury Elite Pro Dock / DAS Combo: TB3, up to 28 TB, USB 3.0, DP, GbE, SD

Image
OWC has started sales of its new DAS device that can also serve as a Thunderbolt 3 dock. The Mercury Elite Pro Dock is aimed at creative professionals with the latest Thunderbolt 3-enabled laptops that require a significant amount of storage capacity along with a set of traditional interfaces, including USB 3.0 Type-A, DisplayPort, GbE, and an SD card reader. OWC is a well-known name in the Mac world as for years the company made accessories and upgrades for Apple’s computers. Since modern MacBooks cannot be upgraded, the company had to refocus to docks and now moves forward with its Mercury Elite Pro Dock that also serves as a DAS. The OWC Mercury Elite Pro Dock is based on Intel’s JHL6540 controller and can be daisy chained with other Thunderbolt 3 devices. On the DAS side of matters, the OWC Elite Pro Dock can pack two 2.5/3.5-inch hard drives and supports RAID0, RAID 1, JBOD, and Span modes to maximize reliability (by mirroring them), double their sequential read/write

Corsair 16GB DDR4-5000 Vengeance LPX Memory Kit: Built for AMD Ryzen 3000 and MSI

Image
The high-tech industry loves milestones that are round numbers, be it frequency, number of cores, transistor count or something else. It is not that extra 100 MHz – 200 MHz or a couple of additional CPU cores radically improve performance or user experience these days, but because milestones symbolize an achievement, a new height from where we will go and hit the next ones. Today, the industry has reached a milestone as Corsair introduced the industry’s first commercial DDR4-5000 memory modules. We saw numerous companies 'promote' DDR4-5000 earlier this year at Computex, but none were seriously considering bringing them to retail. Corsair is the first. Corsair’s dual-channel Vengeance LPX DDR4-5000 (CMK16GX4M2Z5000C18) memory kit comprises two 8 GB unbuffered modules featuring a CL18 26-26-46 latency and a 1.5V voltage. The memory modules use Micron’s cherry-picked memory ICs and use a custom 10-layer PCB from Corsair. The enthusiast grade modules are equipped with aluminu

AMD Brings Ryzen 9 3900 and Ryzen 5 3500X To Life

Image
Seemingly always with AMD’s product portfolio, there is a persistent drip of new products being inserted into the product stack throughout the lifetime of a given generation of hardware. Aside from the five Ryzen 3000 series processors launched back in July, we are expecting a new 16-core flagship on top of that list come in November. Until then, AMD has inserted two new processors: one for worldwide consumption, and another for the Chinese OEM market only.

TSMC: N7+ EUV Process Technology in High Volume, 6nm (N6) Coming Soon

Image
TSMC announced on Monday that its customers have started shipping products based on chips made by TSMC using its N7+ (2 nd Generation 7 nm with EUV) process technology that uses extreme ultraviolet lithography (EUVL) for up to four layers. The company also said that its clients are on track to tape out chips to be made using N6 node next year. When compared to N7 (1 st Generation 7 nm) that solely relies on deep ultraviolet lithography, TSMC lists its N7+ process as providing a 15% to 20% higher transistor density as well as 10% lower power consumption at the same complexity and frequency. Furthermore, after less than two quarters in production, TSMC is stating that N7+ now matches N7’s yields, which has been used for over a year now. Use of EUVL enables TSMC to reduce usage of multipatterning technologies when printing highly complex circuits. This also means that TSMC’s EUV tools can offer output power of greater than 250 watts for day-to-day operations while reaching targe

Intel Announces Price Cut for 9th Generation F and KF Processors

Image
One of the interesting developments of Intel’s 9 th Generation Core processors for desktops, known as the S-series, was that the company decided to release versions of the hardware with the graphics disabled in order to use every chip from the wafer. At the time Intel was criticised on its pricing: it was offering the same processor minus graphics for the same bulk unit cost, with no discount. Today Intel is adjusting its strategy, and pricing these F and KF processors lower than before.

G.Skill Launches 32 GB DDR4 Modules, 256 GB Kits: Up to DDR4-4000

Image
G.Skill has now rolled out its 32 GB unbuffered DDR4 modules in dual-channel and quad-channel memory kits. The modules are offered with data transfer rates from 2666 MT/s to 4000 MT/s and various modules are compatible with AMD’s Ryzen 3000/X570 as well as Intel’s X299/Z390 platforms. Based on pre-binned 16 Gb memory chips and proprietary PCBs, G.Skill’s hardware boils down to sets of 32 GB DDR4 unbuffered DIMMs. Lower-end modules are set to be available as single pieces as they can be used by PC makers that need to lower their BOM cost, whereas higher-end parts will be offered as dual-channel and quad-channel kits for high-end desktops and workstations. The UDIMMs feature an XMP 2.0 SPD for setting speeds beyond JEDEC. G.Skill's 32 GB Trident Z UDIMMs and Kits Speed CL Timing Voltage Kit Config. Kit Capacity DDR4-2666 CL18 18-18-43 1.2 V 1×32 GB 32 GB 2×

Already Working on 2nd Gen: AMD’s Ryzen Microsoft Surface Edition and what Semi-Custom Means

One of the key takeaways from Microsoft’s launch this week was that the company was spreading its wings with devices made by all three major SoC vendors: Intel, AMD, and Qualcomm. Both the AMD and Qualcomm design wins are especially important given that these companies did not traditionally have a foothold in this space. Both companies showcased unique silicon for Microsoft, with AMD’s Ryzen Microsoft Surface Edition going into the consumer-grade Surface Laptop 3, and Qualcomm’s Snapdragon SQ1 in the Surface Pro X. We sat down with AMD to get to grips with this partnership.

HP’s 2019 Spectre 13 X360 Launched: Ice Lake, OLED, 22 Hours

Image
HP has introduced a new version of its 13-inch Spectre x360 convertible laptop. The Project Athena-class hybrid notebook is smaller than its predecessor yet features higher performance, improved responsiveness, an optional OLED monitor, improved security, and a battery life of up to 22 hours. The 2019 HP Spectre 13 x360 comes in an all-new CNC-machined aluminum chassis with Natural Silver, Poseidon Blue or Nightfall Black finish with ultra-thin display bezels that enabled HP to make the convertible laptop smaller while preserving a 13.3-inch display size. The latest Spectre 13 x360 convertible is 17 mm (0.67 inches) thick and weighs 1.30 kilograms (2.88 lbs), which means that it is 2.5 mm thicker than is predecessor, but has the same weight. Meanwhile, design of the laptop is generally similar to 2018 model as it features diamond-shape edges as well as an angled USB Type-C connector located in the faceted edge on the right. Besides aesthetics, there are other reasons why HP