Posts

Showing posts from December, 2019

Taking Immersion in Gaming One Step Further: Full PC Immersion with the CoolBitts ICEbox

Image
One of the news items that went under the radar at Supercomputing was from CoolBitts. The company focuses on fully immersed systems whereby the CPU, GPU, and all the components are put into a non-conductive liquid. There are two types of immersive systems: two phase, where the liquid turns to a gas on heating and then condenses back into a liquid, or single phase systems that rely on a pump and a radiator to help move the liquid. This is the latter, and if you’ve ever heard of the ‘mineral oil’ PC, this is something very similar, except this is a case and coolant dedicated for immersion systems.

Kioxia: 3D Stacked Storage Class Memory, like 3D XPoint, Isn’t the Future

Image
One of the key battlegrounds of the next decade is going to be storage: density, speed, and demand. Naturally all the major players in the space want to promote their own technologies of that of their competitors, and Kioxia (formerly Toshiba Memory) is no different. This year during their plenary talk at the International Electron Devices Meeting (IEDM) the company set forth its promotion of its BiCS flash product family, as well as its upcoming XL-Flash technology. What was interesting during this talk is a graph that seems to slam the long-term prospects of any of the upcoming Storage Class Memory (SCM) technologies like 3D XPoint from Intel and Micron.

ECS to Unveil LIVA Z3 Plus & LIVA Z3E Plus UCFF PCs at CES: Intel’s 10th Gen Core Inside

Image
ECS this week disclosed plans to introduce new LIVA ultra-compact form-factor (UCFF) PCs based on Intel’s 10 th Gen Core processors. Set to be fully unveiled at CES next month, the new LIVA Z3 Plus and LIVA Z3E Plus systems are designed to fit in the usual UCFF niches for regular office PCs, media streamers, digital signage players, and industrial applications. As things stand, ECS’s LIVA Z3 Plus & LIVA Z3E Plus UCFF PCs will be among the most compact desktop computers based on Intel’s 10 th Generation Core processors. The systems will belong to the company’s Premium LIVA series, offering advanced features like Wi-Fi 6, USB Type-C, and out-of-box Amazon Alexa assistant support. Furthermore, the larger LIVA Z3E Plus will have two COM ports. In addition to higher-end LIVA Z3 Plus & LIVA Z3E Plus computers, ECS also plans to introduce special-purpose 0.6-liter to 1.6-liter LIVA systems. The upcoming LIVA Q1L, Q1D, and DH310 are expected to feature an integrated 4G/LT

AnandTech Year In Review 2019: Flagship Mobile

2019 is coming to an end, and it’s time again to look back at what the industry has brought us. This year, we saw a lot of hardware improvements from all the various vendors, with a big focus on bringing out new distinctive designs. We’ve seen some exotic devices in the form of foldable phones for the first time ever, and even some more traditional designs dared to implement design cues such as mechanical pop-out cameras. While designs were sometimes the main differentiating factors, most of the time the key selling points of 2019 devices were big upgrades in their camera capabilities. Here we’ve seen huge leaps from almost all the vendors, and the year definitely will be remembered mainly for the innovations in photography.

80-Core N1 Next-Gen Ampere, ‘QuickSilver’: The Anti-Graviton2

Image
The drive to putting Arm into the server space has had its ups and downs. We’ve seen the likes of Applied Micro/Ampere, Broadcom/Cavium/Marvell, Qualcomm, Huawei, Fujitsu, Annapurna/Amazon, and even AMD, deal with Arm-based silicon in the server market. Some of these designs have successful, others not so much, but Arm is pushing its new Neoverse N1 roadmap of cores into this space, aiming for high performance and for scale. We’ve already seen Amazon come into the market with its N1-based Graviton2 for its cloud services, but there’s going to be a counter product for every other cloud provider, with the new N1-based Next-Gen Ampere CPU, codenamed QuickSilver. We have some details ahead of the official release announcement in Q1 2020.

Corsair to Acquire SCUF Gaming

Image
Corsair this week has announced that it will take over SCUF Gaming, a manufacturer of advanced gaming controllers for PCs and consoles. By acquiring SCUF, Corsair not only expands its products portfolio, but also gains further intellectual property and patents related to game controllers. The transaction is projected to be completed by the end of December 2019. SCUF's controllers are designed to shorten players' hand movements, which in turn is intended to improve their performance. Controllers from SCUF are modular: they feature removable back paddles, customizable thumbsticks, interchangeable magnetic faceplates, exchangeable D-pads, and other components that allow owners to tailor their devices for themselves. Corsair is not disclosing the financial terms of the private transaction, but says that SCUF will remain a separate brand within Corsair, and that SCUF will continue to operate from its headquarters in Atlanta. Since Corsair already sells mice and keyboards for

Micron Obtains License to Sell DRAM & NAND to Huawei

Image
The inclusion of Huawei into the U.S. Department of Commerce’s Entity List and consequent restrictions to work with the Chinese giant clearly made it much harder for the U.S.-based companies to conduct business with Huawei. Yet, it did not make it completely impossible as companies could apply for special licenses. Despite over 160 companies applying for licenses, it has taken a long time for them to be issued. We saw Huawei's notebooks recently come back to the Microsoft store, and yesterday during an call, Micron announced it is one of the first U.S. companies to recently obtain the required licenses, and they can resume selling various types of products to Huawei. Because of the Export Administration Regulations and Entity List restrictions imposed on Huawei, companies that develop and make products in the U.S. could no longer sell them to Huawei. As a consequence, companies like Intel, Google, Microsoft, Micron, and many others had to stop working with their Chinese partner

Samsung Unveils Galaxy A51 & Galaxy A71 Mid-Range Smartphones: 6.5/6.7-Inches With 4-Module Cameras

Image
While Samsung draws most of its smartphone reputation from its high-end phones, the bulk of the phones that the company sells are are not the $700+ Galaxy S series, but rather the cheaper phones that fill out the lower parts of its product stack. To that end, Samsung has unveiled its new mid-range Galaxy A51 and Galaxy A71 smartphones, which are designed to strike a better balance between features and the handset's cost. As their model numbers suggest, Samsung’s Galaxy A51 and Galaxy A71 smartphones are designed for different price points, yet they have many similarities. Both handsets come in a plastic body, which depending on the model houses either a massive 6.5-inch (A51) or a 6.7-inch (A71) Infinity-O Super AMOLED. Both models get similar panels, each sporting a 2400:1080 resolution as well as an ultrawide 20:9 aspect ratio. The display in turn is protected with Corning's Gorilla Glass 3, which although not the latest and greatest there, is not particularly surprising

LG Reveals 31.5-Inch UltraFine Ergo 4K Monitor with Ergonomic Arm

Image
LG has announced its new 31.5-inch UltraFine Ergo 4K display, the largest in the UltraFine family to date. Living up to its name, the Ergo monitor has an innovative ergonomic arm that provides far more flexibility than any other stand that comes with LCDs, affording some new opportunities to free up space on the desktop. The new LG UltraFine Ergo display model 32UN880 uses a 31.5-inch IPS panel with a 3840×2160 resolution, offering a maximum brightness of 350 nits, a 1000:1 contrast ratio, a 60 Hz refresh rate, a 5 ms response time, and the usual 178°/178° horizontal/vertical viewing angles. Traditionally for LG’s UltraFine LCDs — which are developed primarily for professional customers seeking for accurate colors — the new monitors can display 1.07 billion of colors and cover 95% of the DCI-P3 color gamut. Unfortunately, we have no idea whether the devices support any other color spaces. Typically, LG’s UltraFine monitors only support DCI-P3, which makes them a great f

LG’s Lightweight Gram 17-Inch Laptop Gets Intel’s Ice Lake CPU

Image
Thin-and-light notebooks with a 17-inch display are rather rare, as most 17-inch laptops are intended to be high performance desktop replacement-class machines. LG’s gram 17 has been a stand-out in that respect, targeting users seeking primarily for a sleek and lightweight PC. This week LG has introduced an updated gram 17 that retains its thin-and-light form-factor, but improves on its predecessor in almost every way possible when it comes to performance. The new LG gram 17 comes in the company’s signature white or grey chassis made of carbon magnesium alloy that is only 17.4 mm thick. The laptop weighs 1.35 kilograms (2.98 pounds), which is in-line with the weight of a typical 13-incher, yet packs a 17.3-inch IPS display with a 2560×1600 resolution. Inside the updated LG gram 17 notebook is Intel’s 10 th Generation Core processor (Ice Lake) with up to Iris Plus Graphics. This is paired with up to 24 GB of DDR4-3200 memory as well as up to two M.2 NVMe SSDs. To cool down

280 Hz Fast: ASUS Releases TUF Gaming VG279QM IPS Monitor w/ 280 Hz

Image
ASUS has started sales of its yet unannounced TUF Gaming VG279QM display in China. The new monitor features a maximum refresh rate of 280 Hz along with a dynamic refresh rate technology and is designed for gamers seeking maximum performance. The ASUS TUF Gaming VG279QM display uses an 8-bit ‘fast IPS’ 27-inch panel from AU Optronics that features a Full-HD resolution and a native refresh rate of 240 Hz that is overclocked to 280 Hz. Other characteristics of the monitor are in line with specifications of the panel: 400 nits brightness, a 1000:1 contrast ratio, 178°/178° viewing angles, and a 1 ms response time. Since the monitor is designed for gamers, it does not come as a surprise that it supports NVIDIA’s G-Sync and VESA’s Adaptive-Sync variable refresh rate technologies. (We expect it to support AMD’s FreeSync, but so far it has not been formally qualified.) The monitor also support ASUS’s extreme low motion blur (ELMB) technology. Interestingly, the monitor promises to

Western Digital Rolls-Out Two New SweRV RISC-V Cores For Microcontrollers

Image
Western Digital has added two new processor cores — the SweRV Core EH2 and the SweRV Core EL2 — into its SweRV portfolio of microcontroller CPUs. And, keeping in line with past parts, and the company has made their register-transfer level (RTL) design abstraction available to the industry for free. In addition, the company has also introduced the first hardware reference design for OmniXtend cache coherent memory over Ethernet protocol, and transferred management and support of the architecture to Chips Alliance. 

OWC Launches ThunderBay 4 Mini DAS: 4 SATA Bays With SoftRAID, Up to 1.5 GB/s

Image
OWC has introduced a new small form factor high performance, high redundancy DAS for the professional market. The ThunderBay 4 Mini incorporates 4 2.5-inch storage bays, allowing it to store up to 16 TB of data, and with OWC touting transfer speeds of up to 1.5 GB/s. The device is aimed at various creative professionals who need an ample amount of reliable storage space, but in a relatively small package. The OWC ThunderBay 4 Mini DAS can accommodate four 2.5-inch/15.5 mm storage devices and is based on Intel’s JHL6540 (dual-port TB3) and ASMedia’s ASM1062 (PCIe 2.0 x2 => two SATA 6 Gbps bridge) controllers. Given constraints imposed by a SATA 6 Gbps interface and the ASM1062 chip, the ThunderBay 4 Mini can offer up to 1556 MB/s read/write performance when equipped with four SATA SSDs, or around 560 MB/s when populated with four Seagate’s 2.5-inch 4 TB hard drives. To ensure stable operation and consistent performance, the DAS comes equipped with a fan.

Update: Sales of Samsung Galaxy Fold Are Nowhere Near 1 Million Units

Image
Update 12/14 : While claims were earlier made by Samsung's Chief Strategy Officer that the company had sold 1 million Galaxy Folds, the company has since stepped in to correct the executive. Speaking to the Yonhap News Agency , the spokesperson said that "Sohn may have confused the figure with the company's initial sales target for the year." In actuality, the company's most recent comments are that it expects to sell half as many phones for the year. While Samsung may have suffered a rather unforgettable snafu with their first attempt to launch their Galaxy Fold smartphone, following its re-launch a few months back, it looks like shipments of the cutting edge folding phone have turned out to be rather decent. Speaking at TechCrunch Disrupt Berlin, Young Sohn, the president and chief strategy officer of Samsung Electronics, stated that the company has sold about a million of the Galaxy Fold smartphones since its launch in September, a good start for the ult

Microsoft Announces Xbox Series X: Available Holiday 2020

Image
Microsoft this evening has finally given their long-awaited next generation gaming console a name, announcing the Xbox Series X. The device, formerly known as Project Scarlett, is said to be four times more powerful than the current Xbox One X, and along with its new Xbox Wireless Controller will be available in the Holiday 2020 timeframe. Microsoft has been drip-feeding information about their forthcoming console for the better part of a year now, so today’s announcement of the name and revealing the final design is the latest element in that campaign. The black, monolith-shaped box is certainly unlike any previous Xbox console design, and while touching it probably won’t make you smarter, Microsoft has definitely evolved the design of their hardware. The same goes for the new Xbox Wireless Controller that ships with the console, which incorporates an unusual D-Pad derived from the Xbox Elite Series 2 Wireless Controller. The controller will be compatible with Windows 10 as well.

Intel Hires Fab Veteran, Former GlobalFoundries CTO Dr. Gary Patton

Image
Intel has hired Dr. Gary Patton, the former CTO at GlobalFoundries and an ex-head of IBM Microelectronics business. Dr Patton was leading Global Foundries leading edge processes before that project was cancelled. At Intel, Dr. Patton will be responsible for design enablement, a crucial connection between process technology, yields, performance, and time-to-market of actual products. Gary Patton most recently served as the CTO of GlobalFoundries, where he was responsible for R&D and strategic decisions associated with upcoming process technologies. He joined GlobalFoundries from IBM Microelectronics in 2015, when GF took over IBM's fabrication technologies. At IBM, he had the same role and was responsible for research and development of new semiconductor process technologies. GlobalFoundries, as a function of spending its 14/12nm profits into its 7nm development and one of its major shareholders wanting to recoup investment in the company, last year decided to cease deve

EUV Wafers Processed and TwinScan Machine Uptime: A Quick Look

Image
One of the interesting elements that came out of some of our discussions at the IEDM conference this year revolve around the present deployment of EUV. Currently only one company makes EUV tools, ASML, and the deployment of these to the various foundries that are on the leading edge has been a topic of some discussion here at the event, especially as we start talking about 7nm, 5nm, 3nm, and new generations of tools.

Intel’s Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm

One of the interesting disclosures here at the IEEE International Electron Devices Meeting (IEDM) has been around new and upcoming process node technologies. Almost every session so far this week has covered 7nm, 5nm, and 3nm processes (as the industry calls them).  What we didn’t expect to see disclosed was an extended roadmap of Intel’s upcoming manufacturing processes.

Best Mechanical Keyboards: Holiday 2019

Image
Continuing our run of holiday buyers' guides, today we're taking a look at peripherals. Considering that a PC’s peripherals can easily outlive the main system’s components and usually stay the same even after several main system upgrades, they are often not given the attention they deserve. Keyboards are just such a component; it is the main interface with the PC, yet most casual users hardly stop to consider what would be the most practical/comfortable choice for them.

Macronix to Start Shipments of 3D NAND in 2020

Image
Macronix, a Taiwan-based manufacturer for special-purpose memory solutions, will start volume shipments of its own 3D NAND memory in the second half of next year. The company will become the first flash manufacturer in Taiwan to produce in-house designed 3D NAND. Macronix will manufacture 48-layer 3D NAND memory in the second half of 2020, said Miin Wu, the chairman of the company, during a press conference dedicated to Macronix’s 30 th anniversary. The company then plans to start shipments of 96-layer 3D NAND in 2021 and 192-layer 3D NAND in 2022. At present, the most advanced technology used by the firm to make NAND is its planar 19 nm technology that has been in use since February, 2019. Macronix did not disclose the organization of its 3D NAND, but since the company typically produces memory for specialized devices such as defibrillators, drones, video game cartridges, and watches, they are likely aiming for longevity and reliability here. Which these days is a rather uniq

Toshiba Announces 6 TB HDDs for Surveillance Applications

Image
Toshiba has introduced a new line of energy-efficient hard drives for surveillance applications. The new DT02-V series HDDs will support up to 32 HD streams and offer capacities of up to 6 TB. The company says that the key advantage that the new drives will provide over its direct predecessors is improved reliability. Toshiba’s DT02-V family of 3.5-inch hard drives will include models with 2 TB, 4 TB, and 6 TB capacities featuring a 5400 RPM spindle speed, a 128 MB cache buffer, and a SATA 6 Gbps interface. Being aimed at digital video recorder (DVR) and network video recorder (NVR) platforms applications, the DT02-V HDDs support a variety of enhancements, such as ability to record data from up to 32 cameras simultaneously as well as being rated to run 24/7 – including in multi-drive environments. Performance-wise, Toshiba expects its DT02-V HDDs to offer up to 185 MB/s sustained sequential data transfer speeds. As for reliability, they are rated for up to 180 TB per year work

AOC’s Agon AG493UCX: A 49-Inch Ultrawide Curved Monitor w/ 120 Hz Refresh & VRR

Image
In recent years, displays with an ultrawide aspect ratio have gained traction both among gamers and among prosumers. At first, monitors with a 21:9 aspect ratio were released by a handful of manufacturers, but more recently, most of leading brands launched even wider LCDs featuring a 32:9 or 32:10 ratios. TPV Technology – which makes displays under AOC and Philips brands – was among the first manufacturers with professional-grade 32:9 monitors, so now it's following-up with the gamer-focused AOC Agon AG493UCX. The AOC Agon AG493UCX uses a 5120×1440 VA panel that features a 1800R curvature, which essentially means that the display offers the same number of pixels and screen real estate as two 27-inch QHD LCDs. Other specifications of the LCD include a max luminance of 550 nits, a 3000:1 contrast ratio, 178º/178º vertical/horizontal viewing angles, a 1 ms MPRT response time, and a refresh rate up to 120 Hz. The monitor can display 121% of the sRGB or 93% of the DCI-P3 color gamu

Qualcomm Snapdragon Tech Summit Live Blog Day Two: All About Mobile

After yesterday announcing both the Snapdragon 765 and Snapdragon 865 mobile platforms, which will aim to provide a lot of 5G coverage to 2020 devices, Qualcomm today is going to go deep into what is inside both of these chips. We're here will full live blog coverage - the talk starts at 7pm UTC / 2pm ET.

Western Digital Starts Sales of WD_Black P50 USB 3.2 Gen 2x2 SSDs

Image
Western Digital has started sales of its WD_Black P50 SSDs that were announced earlier this year. The drives are aimed at the high-performance market and are among the first peripherals to support USB 3.2 Gen 2x2, which offers a full 20Gbps over a USB-C cable. The WD_Black P50 Game Drive is an external storage device containing an internal NVMe SSD, with capacities of 500 GB, 1 TB, or 2 TB. The device offers a USB 3.2 Gen 2x2 Type-C interface via ASMedia’s ASM2364 USB-to-PCIe bridge, driving peak interface transfer rates of up to 20 Gbps (2.5 GB/s). As one of the fastest consumer-grade external SSDs available today and among the first 2x2 devices, the WD_Black P50 SSD is on the cutting edge for USB devices. However, as with the launch of any new USB standard, the market starts at 0 for both hosts and peripherals; so the number of hosts that can actually use the drive at its full interface speed is still very limited. The number of hosts will grow over time – we're alr